@JingpingHong 我也是卡在第一个,不过我用modelsim仿真没有问题,用vivado自带的仿真会在第一个报错